aboutsummaryrefslogtreecommitdiffstats
path: root/src/output/plugins/FifoOutputPlugin.cxx
diff options
context:
space:
mode:
Diffstat (limited to '')
-rw-r--r--src/output/plugins/FifoOutputPlugin.cxx3
1 files changed, 1 insertions, 2 deletions
diff --git a/src/output/plugins/FifoOutputPlugin.cxx b/src/output/plugins/FifoOutputPlugin.cxx
index f91cacb0f..c2310b98f 100644
--- a/src/output/plugins/FifoOutputPlugin.cxx
+++ b/src/output/plugins/FifoOutputPlugin.cxx
@@ -226,12 +226,11 @@ FifoOutput::Close()
inline void
FifoOutput::Cancel()
{
- char buf[FIFO_BUFFER_SIZE];
-
timer->Reset();
ssize_t bytes;
do {
+ char buf[FIFO_BUFFER_SIZE];
bytes = read(input, buf, FIFO_BUFFER_SIZE);
} while (bytes > 0 && errno != EINTR);