aboutsummaryrefslogtreecommitdiffstats
path: root/src/output/fifo_plugin.c
diff options
context:
space:
mode:
Diffstat (limited to 'src/output/fifo_plugin.c')
-rw-r--r--src/output/fifo_plugin.c4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/output/fifo_plugin.c b/src/output/fifo_plugin.c
index 1be0f2a7d..891412882 100644
--- a/src/output/fifo_plugin.c
+++ b/src/output/fifo_plugin.c
@@ -238,7 +238,7 @@ static void fifo_dropBufferedAudio(void *data)
}
static size_t
-fifo_playAudio(void *data, const char *playChunk, size_t size)
+fifo_playAudio(void *data, const void *chunk, size_t size)
{
FifoData *fd = (FifoData *)data;
ssize_t bytes;
@@ -251,7 +251,7 @@ fifo_playAudio(void *data, const char *playChunk, size_t size)
timer_add(fd->timer, size);
while (true) {
- bytes = write(fd->output, playChunk, size);
+ bytes = write(fd->output, chunk, size);
if (bytes > 0)
return (size_t)bytes;